Rabu, 27 Oktober 2010

Cuaca Hasil Dari Ulah Manusia

Dahulu kita masih dapat memprediksi cuaca, kapan musim hujan tiba dan kapan musim kemarau tiba. Tapi belakangan ini kita sering direpotkan dengan tak menentunya cuaca yang kita alami saat ini. Banyak kegiatan-kegiatan yang kita tunda bahkan kita batalkan karena ekstreemnya cuaca pada saat ini. Bahkan cuaca ekstreem ini sampai merenggut korban jiwa, ada yang terjatuh karena jalanan licin, terperosok ke dalam selokan, bahkan ada yang sampai tersengat kabel listrik yang terputus. Beberapa hari kemarin Jakarta mengalami kejadian yang cukup parah yaitu lumpuhnya jalur transportasi karena tergenangnya sebagian besar jalan protokol di ibu kota.
Seharusnya kita dapat berfikir mengapa kita sampai mengalami cuaca ekstreem seperti ini. Semua ini tidak lain karena ulah manusia yang arogan dan selalu mengutamakan kepentingan pribadi diatas kepentingan bersama. Bagaimana tidak kita mengalami kejadian seperti ini, banyak hutan lindung yang dibabat habis , daerah resapan air yang buruk, polusi yang sudah tak bisa dikontrol lagi dan banyaknya gedung-gedung yang berserakan diatas tanah yang tidak semestinya, semua itu demi peruntungan semata bagi sebagian golongan.
Seharusnya kita dapat lebih berfikir, apakah alam sudah enggan untuk bersahabat dengan kita?

cuaca hasil dari manusia

Senin, 25 Oktober 2010

Package Library

LIBRARY IEEE :
- math_real
- numeric_bit
- numeric_std
- std_logic_1164
- std_logic_arith
- std_logic_signed
- std_logic_unsigned
- vital_timing

LIBRARY STD :
- standard
- textio

LIBRARY WORK :
semua source code user akan dicompile dan dimasukkan ke dalam library ini

Multiplexer

library ieee;
use ieee.std_logic_1164.all;

entity plexer
port(
a,b,c,d,s1,s2: in bit;
y:out bit);
end plexer;

architecture multi of plexer is
begin
proc: process is
begin
if (s1='0' and s2='0') then y <= a;
else if (s1='0' and s2='1') then y <= b;
else if (s1='1' and s2='0') then y <= c;
else if (s1='1' and s2='1') then y <= d;
end if;
end process proc;
end multi;

entity sinyal is
port(
pa,pb,pc,pd,ps1,ps2:out bit);
end sinyal;

architecture sinyal of sinyal is
begin
pros: process is
begin
pa <= '0';
pb <= '1';
pc <='1';
pd <= '0';
ps1 <= '1';
ps2 <= '0';
end process pros;
end sinyal;

Senin, 18 Oktober 2010

Pemrograman Devias FPGA

FPGA merupakan kepanjangan dari Field Programable Gate Array, dimana FPGA merupakan sebuah IC digital yang dapat kita rancang sesuai keinginan kita. FPGA bersifat volatile dimana pada saat sumber daya yang menyuplai tercabut maka FPGA akan kehilangan data. Kini FPGA telah mempunyai teknologi baru yaitu Antifuse Technologies dimana pada saat sumber yang menyuplai tercabut atau bermasalah secara otomatis sumber cadangan akan menggantikannya.

Selasa, 05 Oktober 2010

Mengapa Sampai Saat ini Kita Masih Belajar Bahasa Indonesia

kita dilahirkan sebagai warga negara Indonesia memang sudah sepantasnya kita harus mencerminkan kepribadian bangsa Indonesia. Pada dasarnya warga Indonesia harus mencerminkan adat istiadat, tingkah laku dan tutur kata yang baik dalam kehidupan sehari-hari. Masih banyak diluar sana warga Indonesia yang belum bisa bertutur kata dengan baik, sopan dan benar. Kita boleh bangga dapat berbicara berbagai macam bahasa asing,namun apalah artinya bila kita masih salah dalam berbicara bahasa persatuan bahasa Indonesia. Oleh sebab itu belajar bahasa Indonesia tidaklah ada batasannya bagi siapun warga negara Indonesia.

KATA BAKU DAN TIDAK BAKU

BAKU – TIDAK BAKU
1. apotek apotik
2. atlet atlit
3. bus bis
4. telur telor
5. konkret konkrit-kongkrit
6. sistem sistim
7. telepon tilpon-telpon
8. tobat taubat
9. utang hutang
10. pelanggan langganan
11. hakikat hakekat
12. kaidah kaedah
13. dipersilakan dipersilahkan
14. anggota anggauta
15. pihak fihak
16. disahkan disyahkan
17. lesung pipi lesung pipit
18. mengubah merubah
19. teladan tauladan
20. kualitas kwalitas
21. universitas university
22. teater theatre
23. struktur structure
24. monarki monarkhi
25. devaluasi defaluasi
26. abstrak abstrac
27. akomodasi akomodir
28. legalisiasi legalisir
29. diagnosis diadnosa
30. hipotesis hipotesa
31. kultur culture
32. deputi deputy
33. sekuritas Security
34. aktivitas aktifitas
35. relatif relative
36. repertoar repertoire
37. teknologi tekhnologi; technologi
38. elektronik electronik
39. direktur director
40. konduite kondite
41. akuarium aquarium
42. kongres konggres
43. hierarki hirarkhi
44. aksi action
45. asas azas
46. asasi azasi
47. atlet atlit
48. atmosfer Atmosfir, atmosphere
49. azan adzan
50. cabai cabe, cabay
51. daftar daptar
52. dekret dekrit
53. detail detil
54. doa do’a
55. efektif efektip, efektive, epektip, epektif
56. efektivitas efektifitas
57. eksem eksim, exim
58. ekstrem ekstrim, extrim
59. elite elit
60. e-mail email, imel
61. faksimile faksimili, faksimil
62. Februari Pebruari, February
63. foto photo
64. fotokopi foto copy, photo copy, photo kopi
65. hakikat hakekat
66. hipotesis hipotesa
67. ijazah ijasah, izajah
68. izin ijin
69. jadwal jadual
70. Jumat Jum’at
71. karena karna
72. karisma kharisma
73. karismatik kharismatik
74. kategori katagori
75. khotbah khutbah
76. komplet komplit, kumplit
77. konkret kongkret, kongkrit, konkrit
78. kreatif kreatip, kreative
79. kreativitas kreatifitas
80. kredit kridit
81. kualitas kwalitas, kwalitet
82. kuantitas kwantitas
83. kuitansi kwitansi
84. kuota kwota
85. laknat la’nat
86. lembap lembab
87. lubang lobang
88. maaf ma’af
89. makhluk mahluk
90. masyhur mashur
91. muazin muadzin
92. mukjizat mu’jizat
93. napas nafas
94. nasihat nasehat
95. negeri negri
96. nikmat ni’mat
97. november nopember
98. objek obyek
99. pasif pasip, pasive, fasip
100. Penasihat penasehat