Senin, 27 Desember 2010

Seven segment Diaplay (SSD)



Seven Segment Display merupakan alat yang terdiri dari tujuh segment yang dapat menampilkan angka maupun huruf.
Jenis - jenis 7 segment antara lain :
1. 7-Segment Common Catoda
Pada seven segment common katoda semua kaki katoda LED pada 7 segment disatukan secara paralel dan dihubungkan ke GROUND. Maka dari itu 7 segment common katoda aktif pada logika 0 (aktif low).

2. 7-Segment Common Anoda
Pada seven segment jenis ini semua kaki anoda LED pada 7 segment disatukan secara paralel dan dihubungkan ke VCC. Oleh karena itu 7-Segment ini aktif pada logika 1 (aktif high).

Penyusun dari Common antara lain :
1. Decoder yaitu suatu alat yang berfungsi mengubah / mengkonversi input bilangan biner menjadi decimal
2. Encoder yaitu suatu alat yang berfungsi mengubah / mengkonversi input bilangan decimal menjadi biner
3. Multiplexer adalah suat rangkaian kombinasi yang outputnya mempunyailogika sama dengan jalur input yang ditunjuk pada selectornya.

Contoh Pemrograman 7-Segments pada VHDL

entity dani is
port ( d : in std_logic_vector (3 downto 0);
s : out std_logic_vector (7 downto 0));
end dani;
architecture nurhasan of dani is
begin
s <= "00000110" when d="0001" else --1
"01011011" when d="0010" else --2
"01001111" when d="0011" else --3
"01100110" when d="0100" else --4
"01101101" when d="0101" else --5
"01111101" when d="0110" else --6
"00000111" when d="0111" else --7
"01111111" when d="1000" else --8
"01101111" when d="1001" else --9
"01100111" when d="1010" else --A
"01111100" when d="1011" else --B
"00111001" when d="1100" else --C
"01011110" when d="1101" else --D
"01111001" when d="1110" else --E
"01110001" when d="1111" else --F
"11111111" ; --0
end nurhasan;
tabel kebenaran 7 segment

Tidak ada komentar: